braveboys 发表于 2010-7-29 10:10:51

关于DDR CLK与DQS的关系

请问邵工,DDRx的时钟和DQS在时序上有什么要求吗,我看了DDR的时序图,只有几个参数是有联系的,但是还是有点迷茫,请指教

doltbird 发表于 2010-7-29 11:32:19

回复 1# braveboys


    这个问题可以这样看:
从DDR控制器和DDR芯片的逻辑实现角度讲,DDR CLK和DQS是有关系的,因为所有的逻辑都是DDR CLK驱动的。所有的信号时序关系都是由CLK来约束。
而从DDR数据传输的角度讲,可以认为DDR CLK和DQS没有关系,因为off-chip之后,在板级,DDR的数据是靠DQS来采样的。也就是说,如果只考虑板级实现的话,可以认为DQS、DQ<..>和CLK之间没有绝对关系。

onepcb 发表于 2010-8-11 17:02:21

这个问题,我也有些迷惑。。。

xlfu 发表于 2010-8-12 14:31:13

还是有点疑惑啊

doltbird 发表于 2010-8-12 16:44:26

回复 4# xlfu


    什么疑惑啊?

victor.wang 发表于 2012-9-27 09:56:24

DDR 的address信号是靠clk来锁存数据的,clk与address及control信号没关系?
页: [1]
查看完整版本: 关于DDR CLK与DQS的关系