PCB论坛网

 找回密码
 注册
查看: 6020|回复: 1

最新半导体设备资料特供

[复制链接]
发表于 2009-12-29 13:05:00 | 显示全部楼层 |阅读模式

 

Primary offering

1) KLA 8xxx CD-SEM systems: Sales, refurbishment, and long term support. Configured to customer's requirement. 15 systems in stock. Large stock of parts. Refurbished with 3 months warranty.

2) KLA EV300 Defect Review Systems: Technical support, training and service calls.

3) Credence Kalos Testers: Sales, refurbishment, and long term parts support. Configured to customer's requirement. Large stock of parts. 3 months warranty.

4) Nikon Steppers/Scanners: Sales, refurbishment, and support. G-Line, I-Line, DUV. In cooperation with US based Nikon refurbisher. 3 months warranty.

5) Applied Materials CVD/Etch/Sputter systems: Sales, refurbishment, and support. In cooperation with US based AMAT refurbisher. 3 months warranty.

 

We are offering the following equipment for sale. Refurbishment, start up and support services are available for most equipment.

 

WAFER PROCESSING EQUIPMENT for Sale :

 

ADE 9500 Ultraguage

Alcatel 601E ICP Bosch etcher

Alcatel AMS-100 I-speeder ICP Bosch etcher

Aixtron 2400/G3 MOCVD for GaN 6 x 2" (3 systems for sale)

Aixtron 2400/G2 MOCVD, As/P

Aixtron 2600/G3 MOCVD, GaAs, 6 x 2"

Axcelis / Fusion Gemini Photostabilizer system(GPS)

Axcelis / Fusion M200 PCU Photostabilizer system

Applied Materials P5000 RIE, 6", 3 MxP chambers, Oxide/Poly (upto 8")

Applied Materials P5000 RIE, 8", 4 MxP+ chambers

Applied Materials P5000 PECVD system, 8", TEOS with PLIS

Canon FPA-3000 EX4 DU! V Stepper, 8" (Refurbished by Canon, Guaranteed performance)

Canon FPA-3000 Stepper spare parts (suitable for i3, i4, i5, iw, EX3, EX4, EX5, EX6 in stock)

CHA Industries Mark 40C Evaporator

Emcore D180 MOCVD, GaN, 2 systems, presently running in production, Relocation service availabl

Emcore E400 MOCVD As/P, pr esently running in production

F&K Delvotec 5430 Wire Bonder

FEI 800 FIB, operational in Lab

FEI / Micrion 9500 FIB, operational in Lab

FEI Strata 201 FIB, operational in La

FEI Tecnai F20 TEM, operational in Lab

Hamamatsu Systems Phemos-1000

Hitachi S4500 SEM

Hitachi S5000 SEM

Karl Suss FC15! 0 flip Chip Bonder (SRA config)

Karl Suss MA200CC Mask Aligner

Karl Suss MA150 Mask Aligner

KLA-Tencor 5100XP Overlay Tool, upto 8" (3 qty)

KLA-Tencor 5200, 5300 Overlay tools (coming soon)

KLA-Tencor 5500 Surfscan

KLA-Tencor 6200 Surfscan

KLA-Tencor 8100, 8100T, 8100E, 8100XP,8100XPR,82508300,8450 CD SEM, upto 8" (several in stock, Refurbished with warranty and installation)

KLA-Tencor HRP-220 Profiler

KLA-Tencor P20H Profiler

KLA-Tencor RS-55

LAM 4520 Oxide etchers, 8" (several available)

LAM 9608 Metal etchers! , 8" (several available)

Leica Vistec INS 3000 Wafer Inspection System

Mactronix Eureka Wafer Transfer System (8 qty)

MGI Phoenix V4 - Wafer Transfer System, for 6" wafers

Nanometrics Nanospec 6100

Nikon S203B D! UV SCANNER, 0.18um, 8" (refurbished / turn-key system

Nikon S202A DUV SCANNER, 8" (refurbished / turn-key system)

Oxford Plasmalab 100 ICP Etcher (180 ICP source)

Oxford 80+ RIE systems

Plasmatherm 790 PECVD system

STS Multiplex PECVD system

TEL ACT 8 Coater/Developer System (Single Block system, Excellent condition, just arrived)

 

Parts Inventory for KLA-Tencor CD-SEM systems 

 

AUTOMATED TEST EQUIPMENT (TESTERS) for sale :

 

Advantest T5581H tester with 2ea D-type test heads

Advantest T5581 (Spare Parts Only)

Advantest T5585 (Spare Parts Only)

Advantest T5591

Agilent V4436 flash memory tester

Credence DUO XP, 384 pin, mixed signal (parts only)

Credence ! Kalos 2 HEX (2 sets)

Credence Kalos 2 (several sets)

Credence Kalos XW (5 sets, Brand New)

Credence Kalos HEX

Credence Personal Kalos (PK1) , 3 qty, any configuration can be supplied

Credence Personal Kalos (PK2) , 4 qty, any configuration can be supplied

Keithley S400/S425 tester with EG4085 prober (5 sets)

Teradyne A575 Mixed Signal Tester

 

Parts Inventory for Advantest, Teradyne, Credence Testers

 

PROBERS, HANDLERS, DICING SAWS, DIE SORTERS for sale :

 

Advantest M6541A handler

Advantest M6741A handler

Daymarc 717 Handler

EG 4080x Wafer Prober, upto 8" (3 qty)

Wentwo! rth APS-80 Wafer Prober

 

We also buy equipment for our own stock. Also, we are assisting our customers with locating the following equipment. If you have such equipment for sale, we are interested in receiving details from you. Please do not hesitate to send us a list of your surplus tools as we are always in the market looking for opportunities to add to our inventory.

Simon Zhang
xczhang@gcemarket.com

http://www.gcemarket.com

GCEMarket China Sales Office
Suite 1116, YinQiao Building
58 JinXin Road, JinQiao, Pudong Shanghai, 206201 PRC
Tel: 0086-21-51303606
Fax: 0086-21-51303605
China Mobile: 86-13916644000
QQ:11992827, MSN: Puresimon@msn.com, Skype: Puresimon

回复

使用道具 举报

edisonycq 该用户已被删除
发表于 2013-11-5 10:11:52 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

Archiver|小黑屋|手机版|PCB设计论坛|EDA论坛|PCB论坛网 ( 沪ICP备05006956号-1 )

GMT+8, 2024-6-16 01:46 , Processed in 0.126677 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表